The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Sep 9th, 2024, 9:13pm
Pages: 1
Send Topic Print
first order sigma delta adc    z-domain model (Read 4377 times)
yvkrishna
Senior Member
****
Offline



Posts: 117

first order sigma delta adc    z-domain model
May 27th, 2011, 1:21am
 
Hi all,

I am trying to understand the one-one corresponding of the linear SFG of a first oder Sigma delta ADC with its  circuit implementation.  as shown in figures below

the integrator shown in Fig 32.7 is a delaying discrete analog integrator with   TF = Z^-1 /( 1 - Z^-1)     when considered from its inp to output

If the comparator has a delay of half cycle (Z^-0.5)  total loop TF  would be differnt from what the linear model in Fig32.6  shows.


one possibilty which can work is if the discrete analog integrator has TF = Z^-0.5/(1 - Z^-1)   and comparator  with Z^-0.5


So can we really  use a comparator which has TF =(Z^-1 )    (where the preamplification and regeneration happen in consecutive half cycles   )   while making a stable loop and  a modulator?   









thanks,
yvkrishna
Back to top
 
 
View Profile   IP Logged
yvkrishna
Senior Member
****
Offline



Posts: 117

Re: first order sigma delta adc    z-domain model
Reply #1 - May 28th, 2011, 6:31am
 
figures in the attachment
Back to top
 

firstOrdSDM.png
View Profile   IP Logged
nrk1
Community Member
***
Offline



Posts: 81

Re: first order sigma delta adc    z-domain model
Reply #2 - Jun 8th, 2011, 9:11am
 
The loop delay is one cycle. opamp output=y; comparator output=v=sgn(y)=y+e.
y[n-1]: end of previous phi2
y[n]: end of this phi2
v: sign of y sampled at the rising edge of phi1 or, equivalently, the end of previous phi2

Therefore, y[n]=y[n-1]-v[n-1]; This gives the desired NTF.

There is no one to one correspondence between the block diagram and the schematic. The delay for the input signal is half cycle in the latter and one cycle in the former. This makes a difference to only the STF and is irrelevant.

For details, see, e.g. http://www.ee.iitm.ac.in/~nagendra/EE658/200908/lectures/20091027/20091027.html
Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.