The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
May 4th, 2024, 7:39am
Pages: 1
Send Topic Print
Customiz layout done by who? (Read 1824 times)
raymond_luo2003
Guest




Customiz layout done by who?
Jun 18th, 2005, 1:21pm
 
Dear all,

I am not sure it is suitable or not to post here, but a simple question but confuse me lot!

Recently our company get great debating on this topic: shall we recruit customer layout engineer or not????

Some design engineers think it is will need lots effort if the layout job done by layout engineer isn’t good enough, then design engineer will spend lots of time to check the GDS, and eventually it probably require few iteration between design engineer and layout engineer. They prefer layout done by their own! Since the design engineer is at best position to know the circuitry, the design engineer will automatically consider the performance in layout stage while customer layout engineer don’t know circuitry and will cause the lots of iterations.

The other engineers said: it would save lots of time since design engineer can focus on design and start new job if we have layout engineers to do the customer layout job. It will reduce overall developing time and quality would be good enough as long as the interface between design team and layout team are clear enough and communication enough! And layout engineer can spend lots of time on LVS/DRC which would consume lots of time!


What’s your opinion?
Back to top
 
 
  IP Logged
Paul
Community Fellow
*****
Offline



Posts: 351
Switzerland
Re: Customiz layout done by who?
Reply #1 - Jun 19th, 2005, 1:43pm
 
Raymond,

of course the following is my very personal opinion. There certainly is no definitive answer to such a question, as both solutions have as many advantages as drawbacks.

I (a design engineer) prefer working with layout engineers, which in my former company were also partially in charge of lab measurements. Layout engineers with no additional tasks may sooner or later get bored by their task, so I think it is necessary to assign other tasks, be it in tool support, lab tasks or others.

From my experience, it is harder to get design engineers deliver *all* the necessary information to layout designers than to get the latter draw layouts according to these specs. For this reason I believe that it is often unfair when circuit designers blame the layout engineers, simply because they forgot to define all the layout constraints.

Best

Paul
Back to top
 
 
View Profile WWW   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.