The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
May 5th, 2024, 4:13pm
Pages: 1
Send Topic Print
variloga keyword for frequency? (Read 5941 times)
manyoki
Junior Member
**
Offline

Humble is not
stupid.

Posts: 10
suburb
variloga keyword for frequency?
Oct 14th, 2005, 4:46pm
 
i am trying to write a veriloga code for some ac analysis.  i need the keyword for frequency, similarily like $abstime is the keyword for timefor transient analysis.  i looked everywhere, cannot find it.
anyone out there knowing the answer?

thanks,
zoltan
Back to top
 
 

Zoltan
View Profile   IP Logged
Jess Chen
Community Fellow
*****
Offline



Posts: 380
California Bay Area
Re: variloga keyword for frequency?
Reply #1 - Oct 14th, 2005, 6:58pm
 
I don't believe VerilogA has a $frequency counterpart to $abstime.

Back to top
 
 
View Profile   IP Logged
manyoki
Junior Member
**
Offline

Humble is not
stupid.

Posts: 10
suburb
Re: variloga keyword for frequency?
Reply #2 - Oct 15th, 2005, 4:57am
 
thanks.  i tried all the trivial options with  no success.  

how can i then create a frequency dependent voltage in veriloga for ac simulations?  iīd like to generate a mask for different applications (filters, jitter transfer, jitter tolerance, e.t.c.)   i remember, it was possible for hspice.  it had some pwl voltage source against the frequency.  itīs hard to beleive that there is something that hspice could and veriloga couldnīt handle.

thanks, again

zoltan manyoki
Back to top
 
 

Zoltan
View Profile   IP Logged
Andrew Beckett
Senior Fellow
******
Offline

Life, don't talk to
me about Life...

Posts: 1742
Bracknell, UK
Re: variloga keyword for frequency?
Reply #3 - Oct 15th, 2005, 5:51am
 
The difficulty is that if Verilog-A supported a means of specifying a relationship in terms of frequency, it would need to be translated into the time domain when somebody did a transient analysis of the same model. Such a transfer is sometimes impossible - at least if you want to generate a representation which is causal and stable in the time domain.

You can describe frequency domain transfer functions using the laplace functions in Verilog-A. These will work in both the time and frequency domain analyses.

I suppose if the language did support descriptions in the frequency domain, it could be constrained to only work in frequency domain analyses - but this is a moot point since the language doesn't (currently) support this.

Regards,

Andrew.
Back to top
 
 
View Profile WWW   IP Logged
Jess Chen
Community Fellow
*****
Offline



Posts: 380
California Bay Area
Re: variloga keyword for frequency?
Reply #4 - Oct 15th, 2005, 10:13am
 
Zoltan,

Now we get to the root problem, which is solvable. I believe you want to do a frequency domain analysis, perhaps a filter or power spectral density analysis, and then overlay a spectral mask to quickly reveal the problem areas. I have had to do this many times myself.

I assume you are using Spectre. I introduce the mask with a Spectre primitive pwl noise source that is not connected to the system in any way. You should be able to introduce the primitive structurally in VerilogA if not explicitly in the top level schematic. You could also use a VerilogA noise table. If you use VerilogA, you will need an additional output port. The noise source simply makes the mask available to the waveform display tool. The mask probably only has a few key points. Enter the frequency versus volts**2 pairs into the noise field for a dc source. Then perform a noise analysis only on the frequencies you entered. If you perform the noise analysis on other frequencies too, the plot will look strange on logarithmic scales because the source linearly interpolates on linear scales, not logarithmic scales. The waveform display tool linearly interpolates on the scales being displayed. Once you have the noise analysis, you can overlay the noise psd with your psd or transfer function of interest.  Be sure you use consistent units and scales. If you do not want to clutter up your circuit with auxiliary sources, or you already have a noise analysis set up, you can use a separate schematic for the mask source and then merge the plots with the waveform calculator. If your spectral mask is a relative requirement, you will need to scale the spectral mask to the spectrum in question. You can easily do that with the waveform calculator.
Back to top
 
 
View Profile   IP Logged
manyoki
Junior Member
**
Offline

Humble is not
stupid.

Posts: 10
suburb
Re: variloga keyword for frequency?
Reply #5 - Oct 17th, 2005, 8:49am
 
Thanks, Jess.  It works like a dream.  The only drawback is that I have to run a noise sim which adds to my sim time.  Relatively low price, though.
Back to top
 
 

Zoltan
View Profile   IP Logged
Jess Chen
Community Fellow
*****
Offline



Posts: 380
California Bay Area
Re: variloga keyword for frequency?
Reply #6 - Oct 17th, 2005, 9:55am
 
You're welcome.  

When I have a circuit that takes a while to simulate, I put the noise source in it's own schematic. Run time is not even noticeable then. I use the waveform calculator to overlay the analysis from the noise schematic and the schematic under test.

I think there's a way you can save the nosie waveform and recall it later, which would save even more time.

-Jess
Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.