The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
May 1st, 2024, 12:46pm
Pages: 1
Send Topic Print
Verilog-a vs. Verilog-ams (Read 5482 times)
mrbrown
New Member
*
Offline



Posts: 1
Denmark
Verilog-a vs. Verilog-ams
Dec 16th, 2005, 8:34am
 
What is the difference between Verilog-a and Verilog-ams?

Thanks.
Back to top
 
 
View Profile   IP Logged
Geoffrey_Coram
Senior Fellow
******
Offline



Posts: 1998
Massachusetts, USA
Re: Verilog-a vs. Verilog-ams
Reply #1 - Dec 21st, 2005, 7:59am
 
Verilog-A is a subset of Verilog-AMS.  Verilog-AMS has a language reference manual (LRM) available from http://www.eda.org/verilog-ams, and one of the appendices specifies what subsets of the LRM are part of Verilog-A.

The idea is that Verilog-A should consist only of things that can be simulated in an analog (spice-like) simulator.  AMS includes digital things and generally requires a second (digital) simulator running with the analog simulator.
Back to top
 
 

If at first you do succeed, STOP, raise your standards, and stop wasting your time.
View Profile WWW   IP Logged
Kenneth Brun Nielsen
Guest




Re: Verilog-a vs. Verilog-ams
Reply #2 - Dec 21st, 2005, 8:01am
 
OK. That makes sense. Thanks!

/Kenneth
Back to top
 
 
  IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.