The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Apr 19th, 2024, 5:26am
Pages: 1
Send Topic Print
passing a string from CDF to a verilog-A model (Read 5018 times)
Roi Carmon
Guest




passing a string from CDF to a verilog-A model
Dec 23rd, 2005, 5:15am
 
Hi andrew!!
Since you're the official GOD of Cadence (I had the pleasure to meet you at our Labs in Haifa Israel not too long ago), I want to ask you how do I pass a string varible from CDF form into Verilog-A model, and after this has been done, how do I manipulate it (comparing it to other string for instance).
I know that in the verilog-A vertion I have, there is NO support in string variables, but there will be on the 6.0 version.

Thanks andrew

Roi Carmon
Back to top
 
 
  IP Logged
Andrew Beckett
Senior Fellow
******
Offline

Life, don't talk to
me about Life...

Posts: 1742
Bracknell, UK
Re: passing a string from CDF to a verilog-A model
Reply #1 - Dec 23rd, 2005, 1:30pm
 
Hi Roi,

As we've been discussing this today via a service request, I'll continue the discussion there - but I'll probably post back here with an answer for the benefit of everyone else.

I'll do that after Christmas though...

Regards,

Andrew.
Back to top
 
 
View Profile WWW   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.