The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
May 1st, 2024, 12:49pm
Pages: 1
Send Topic Print
Serial communication with FPGA (Read 2171 times)
uzmeed
New Member
*
Offline



Posts: 2

Serial communication with FPGA
Jan 25th, 2006, 11:28pm
 
hi

I want to serially communicate with FPGA( PC to FPGA) how can it b done?????

uzmeed
Back to top
 
 
View Profile   IP Logged
Paul
Community Fellow
*****
Offline



Posts: 351
Switzerland
Re: Serial communication with FPGA
Reply #1 - Jan 27th, 2006, 2:16pm
 
Hi,

that depends on your FPGA. Many advanced types nowadays come with multiple integrated communication interfaces. It also depends on your needs in terms of speed/bandwidth. If you don't have any standard ports already available, go to http://www.opencores.org and grab the synthesizable model of one of the standard interfaces like UART, SPI, USB, etc...

Paul
Back to top
 
 
View Profile WWW   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.