The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Apr 28th, 2024, 3:13pm
Pages: 1
Send Topic Print
NCelab error: unresolved instance (Read 12098 times)
Cri Azzolini
Community Member
***
Offline



Posts: 48
University of Parma, Italy
NCelab error: unresolved instance
May 08th, 2006, 9:24am
 
Hi all!

I am trying to simulate a circuit with Cadence AMS-Designer; the DesigPrep phase goes well (neither warning nor errors) but when I launch "Run Simulation" from the AMS-plugin menu of the Cadence Hierarchy Editor, I have got:

ncelab: *E,CUCFUN (no HDL source, 0|0): istance 'I15' of the unit <my_cell_A> is uresolved in <my_library.my_cell_B.schematic>

The log file ncelab.log consulted by means of the Cadene NCBrowse provide the following explanation:

"The specified instance in the named module could not be resolved using the 5.x configuration specification available"

Actually I can not fix this error since I do not undestand what the "5.x config specification" is!
May anyone help me?

Is there a exaustive manual for all the NCelab warnings/errors? I was not able to find it in the CDSdoc hierarchy ....

Thanks and bye,
Cri


Back to top
 
 
View Profile WWW cri.azzolini   IP Logged
bernd
Senior Member
****
Offline



Posts: 229
Munich/Germany
Re: NCelab error: unresolved instance
Reply #1 - May 8th, 2006, 9:44am
 
Try to create an explicit *.vams netlist for
your cell <my_library.my_cell_B.schematic>,
e.g. with 'CIW->Tools->AMS->Netlist...'.

The retry the 'Design Prep...' & 'Run Simulation...'
steps.

Bernd
Back to top
 
 

Just another lonesome cad guy
View Profile WWW   IP Logged
Cri Azzolini
Community Member
***
Offline



Posts: 48
University of Parma, Italy
Re: NCelab error: unresolved instance
Reply #2 - May 8th, 2006, 10:04am
 
Thanks Bernd,

it seems to work! Thanks!
Now I have to netlist a lot of blocks since the error I posted is repeated for many istances.

Thanks again and bye,
Cri
Back to top
 
 
View Profile WWW cri.azzolini   IP Logged
bernd
Senior Member
****
Offline



Posts: 229
Munich/Germany
Re: NCelab error: unresolved instance
Reply #3 - May 9th, 2006, 12:56am
 
Quote:
Now I have to netlist a lot of blocks since the error I posted is repeated for many instances.


This should not be the case, maybe it helps if you put 'my_library' into
the Hierarchy Editors Global Bindings Library List and then do a Design Prep
again, this is just an assumption.

But you can always create a AMS netlist for your schematic if you modify
your Check & Save options, CIW->Tools->AMS->Options..., 'Check and Save',
enable 'Generate AMS netlist' there.

Bernd
Back to top
 
 

Just another lonesome cad guy
View Profile WWW   IP Logged
Cri Azzolini
Community Member
***
Offline



Posts: 48
University of Parma, Italy
Re: NCelab error: unresolved instance
Reply #4 - May 9th, 2006, 1:32am
 
Thanks for your time, Bernd: I am happy to find a great expert on this field! On the contrary, I am at the very beginning of mixed-mode simulation.

As far as I can see, I found a little but significative difference between the analog flow (Analog Design Environment -> Spectre -> Calculator -> Waveform Window AWD) and the AMS-Designer flow suggested by the Tutorial (Hierarchy Editor -> NCsim -> SimVision for result display): in SimVision, before starting the mixed-mode simulation, I have to choose the signal I am interested to and to add them to the SimVision waveform display. Then the simulation is started and the result are dislayed: it happens to me that if I add a signal (not previously chosen) to the wavform strips, no data are available and the signal is not displayed! This is very frustrating since  for large circuits, you cannot always forecast which signal you need to check, especially if the circuit does not work properly and a debug is needed!
In your experience, is it possibile to add signal to the SimVision waveform strip once the simulation is finished or are only the previously chosen signal saved?  

Thanks again and bye,
Cri
Back to top
 
 
View Profile WWW cri.azzolini   IP Logged
bernd
Senior Member
****
Offline



Posts: 229
Munich/Germany
Re: NCelab error: unresolved instance
Reply #5 - May 9th, 2006, 2:34am
 
Quote:
In your experience, is it possible to add signal to the SimVision waveform strip once the simulation is finished or are only the previously chosen signal saved


No not as far as I know.

But you can also use AMS out of the Analog Design Environment
if you use the most recent Cadence versions/updates
of NC-sim (IUS stream) and DFII (IC stream) optional MMSIM stream,
but don't ask me for exact version numbers.
Can you see 'ams' in your simulator choices in the Analog Design Environment?

Quote:
I am happy to find a great expert on this field!


Thanks for the laurels, but I'm just started 2 month ago
to set up the AMS stuff for my company. That's why I
know most of the traps, because I'm steeped in already.

Bernd
Back to top
 
 

Just another lonesome cad guy
View Profile WWW   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.