The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Jul 16th, 2024, 8:34pm
Pages: 1
Send Topic Print
How to read a number from a file in Verilog-a? (Read 1408 times)
jiji666666
New Member
*
Offline



Posts: 1

How to read a number from a file in Verilog-a?
Aug 31st, 2007, 5:49am
 
Dear Masters:

In verilog-a, I need to read a number from a file, but I just find how to write a number into a file, but no read. I wrote a code like this:

analog begin
 file = $fopen("/home/user/myfile.txt");
 rr = $fscanf(file, " %f", real_time);
 $fclose(file);
end

The number in myfile.txt is 100, but real_time=0.

Could you tell me what's wrong in my code.

Many Regards!

Zhichen
Back to top
 
 
View Profile jiji666666   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.