The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Mar 28th, 2024, 6:21pm
Pages: 1
Send Topic Print
use of $dumpvar (Read 44 times)
Designe_IT
New Member
*
Offline



Posts: 1

use of $dumpvar
Dec 14th, 2012, 8:03am
 
Hi,

Do we have something similar to $dumpvar in Verilog-AMS?

I need to generated VCD file. I am using Cadence Spectre for simulation.

-Ganesh
Back to top
 
 
View Profile   IP Logged
AMS_ei
Community Member
***
Offline



Posts: 67

Re: use of $dumpvar
Reply #1 - May 1st, 2017, 9:52am
 
Hi,

I am looking at this post for the first time. I am not sure if you have got this solutions.

However, here is the solution for that.

********************************

initial
begin
$dumpfile("abc.vcd");
$dumpvars();
end

********************************

Hope this helps.

Thank you.

Kind regards.
Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.