The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
May 7th, 2024, 7:45am
Pages: 1
Send Topic Print
Basic Verilog-A question (Read 3057 times)
carbonnanotube
New Member
*
Offline



Posts: 2

Basic Verilog-A question
Jun 11th, 2006, 6:10am
 
Hi everybody,

I'm rather new to the topic of Verilog-A modelling; thus, I'm playing ariound with some simple models in order to get a feeling for the concepts. Doing so, I encountered a (in my opinion) strange "effect": I modelled a simple circuit (R parallel C) and simulated with Cadence Spectre:

module simpleRC(A, B);
electrical A, B;
branch (A, B) Cap;
branch (A, B) Res;
parameter real R = 1e3;
parameter real C = 1e-6;
analog begin
 I(Cap) <+ ddt(V(Cap))*C;
 V(Res) <+ I(Res)*R;
end        
endmodule

which works fine. Then I change the model to the following:

module simpleRC(A, B);
electrical A, B;
branch (A, B) RC;
parameter real R = 1e3;
parameter real C = 1e-6;
analog begin
 V(RC) <+ I(RC)*R;
 I(RC) <+ ddt(V(RC))*C;
end        
endmodule

which still works (!!). That is confusing for me as I expected that the RC-Branch switches to be a flow branch representing a C only (without the parallel R).

Could anybody kindly clear this up?

Regards,
Oliver
Back to top
 
 
View Profile   IP Logged
Ken Kundert
Global Moderator
*****
Offline



Posts: 2384
Silicon Valley
Re: Basic Verilog-A question
Reply #1 - Jun 11th, 2006, 8:27am
 
It seems wrong to me too. I suggest you talk to Cadence about it. Please post their response.

-Ken
Back to top
 
 
View Profile WWW   IP Logged
Geoffrey_Coram
Senior Fellow
******
Offline



Posts: 1998
Massachusetts, USA
Re: Basic Verilog-A question
Reply #2 - Jun 12th, 2006, 4:01am
 
Indeed, the branch value retention rules (see Section 5.3.1.3 in the Verilog-AMS LRM version 2.2) indicate that the module should act as just a capacitor; the potential contribution should be discarded.
Back to top
 
 

If at first you do succeed, STOP, raise your standards, and stop wasting your time.
View Profile WWW   IP Logged
carbonnanotube
New Member
*
Offline



Posts: 2

Re: Basic Verilog-A question
Reply #3 - Jun 12th, 2006, 6:05am
 
Thanks for the information.

Quote:
It seems wrong to me too. I suggest you talk to Cadence about it.

Unfortunately, we don't have Cadence support (we are a university using Europractice). Thus, I see no way to discuss that problem with Cadence directly.

-Oliver
Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.