The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Apr 30th, 2024, 3:43am
Pages: 1
Send Topic Print
Verilog A (Read 2987 times)
Jamz_will
New Member
*
Offline



Posts: 6
Singapore
Verilog A
Aug 14th, 2006, 6:31pm
 
Hi,

Can I simulate my spice netlist and verilog behavioral model using verilog -a simulator if they behave the same?
If its possible, can you give me an idea how?

Thanks.

Jamz_will
Back to top
 
 
View Profile   IP Logged
Geoffrey_Coram
Senior Fellow
******
Offline



Posts: 1998
Massachusetts, USA
Re: Verilog A
Reply #1 - Aug 15th, 2006, 4:38am
 
Most if not all of the major analog simulators support Verilog-A.  You can either run two netlists (one Verilog-A, one transistor-level) and compare the output waveforms from two simulations, or you can try to merge the two netlists into one (eg, put "adder" and "adder_va" in one netlist, and hook up their inputs to the same voltage sources, or if you have current inputs, you probably need to duplicate them) and compare the outputs within one simulation.
Back to top
 
 

If at first you do succeed, STOP, raise your standards, and stop wasting your time.
View Profile WWW   IP Logged
Ken Kundert
Global Moderator
*****
Offline



Posts: 2384
Silicon Valley
Re: Verilog A
Reply #2 - Aug 15th, 2006, 8:38am
 
You cannot simulate Verilog code in a Verilog-A simulator. Verilog-A is a Verilog-like language suitable for describing analog circuitry. If you have Verilog code, you will need a Verilog-AMS simulator, which combines Verilog and Verilog-A. Verilog-AMS simulators allow one to combine SPICE netlists with Verilog code.

-Ken
Back to top
 
 
View Profile WWW   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.