The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
May 19th, 2024, 4:19am
Pages: 1
Send Topic Print
verilog to verilog-a conversion (Read 5689 times)
cristiano.azzolini
New Member
*
Offline



Posts: 4
germany
verilog to verilog-a conversion
Jun 08th, 2012, 12:48am
 
Hi Guys,

do you know of any tool that converts verilog to verilog-a?
I understand that this is no more main stream flow as AMS simulators are largerly available but sometimes verilog-a is still needed due to legacy reasons.
Thanks in advance, cheers.
Cristiano
Back to top
 
 
View Profile   IP Logged
Geoffrey_Coram
Senior Fellow
******
Offline



Posts: 1999
Massachusetts, USA
Re: verilog to verilog-a conversion
Reply #1 - Jun 14th, 2012, 5:39am
 
I think Lynguent has some sort of tool that can convert between different HDLs.  I've never used it, though, and I'm not sure it's what you're after.

When I read "Verilog" I think "digital Verilog" -- meaning gate-level descriptions (and, nand, nor, or, xor, xnor); do you really want something that replaces those event-driven gates with some sort of continuous-time representation?

Back to top
 
 

If at first you do succeed, STOP, raise your standards, and stop wasting your time.
View Profile WWW   IP Logged
cristiano.azzolini
New Member
*
Offline



Posts: 4
germany
Re: verilog to verilog-a conversion
Reply #2 - Jun 17th, 2012, 1:47am
 
Hi Geoffrey,
thanks for the hint.
When I said Verilog I meant exactly digital verilog but "behavioral", not gate level.
Normally you would simulate the behavioral verilog along with analog spice netlits by using AMS sims.
For a number of reasons, in my case, having the behavioral digital verilog translated into analog verilog-a would help.
I will try to gather some info about Lynguent.

Cheers,
Cristiano
Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.