The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
May 6th, 2024, 3:53pm
Pages: 1
Send Topic Print
frequency variable in spectre/verilogA (Read 3148 times)
ss78
New Member
*
Offline



Posts: 1

frequency variable in spectre/verilogA
May 03rd, 2013, 6:41am
 
Hi all.
First off, thanks for this wonderful forum.
This is my first post here.

Is there a way to write frequency dependent
functions with a possibilty to run transient and AC simulations?

For example an equation like this :

H(f) = 10 ^ ( 0.1*sqrt(f)+0.001*f+0.0025/sqrt(f))

Where f is the frequency variable and H(f) is the
transfer function.

Cant it be expressed in verilogA/Spectre so that I can
run AC and tran runs on it?

Thanks
ss78
Back to top
 
 
View Profile   IP Logged
raja.cedt
Senior Fellow
******
Offline



Posts: 1516
Germany
Re: frequency variable in spectre/verilogA
Reply #1 - May 3rd, 2013, 7:46am
 
Hello,
Have look on fracpole doc from this site, which allows you to create some frequency dependent function. I donno if it fits for you or  not

http://www.designers-guide.org/Modeling/suite.pdf

Thanks,
Raj.
Back to top
 
 
View Profile WWW raja.sekhar86   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.