The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Apr 25th, 2024, 9:00pm
Pages: 1
Send Topic Print
VHDL - Generate truth table ? (Read 3208 times)
niakia
New Member
*
Offline



Posts: 2

VHDL - Generate truth table ?
Oct 01st, 2005, 6:00pm
 
I am to create an entity "counter" that has three clocks(clk1, clk2, clk3) to generate a truth table consisting of all eight exhaustive binary values for the three signals. Assume clk1 is the least significant bit and clk3 is the most significant of a truth table.

-Can someone help me to determine what i should do next please ? I am very new to VHDL and in need of guidance  ???.
________________________________________________________

ENTITY counter IS
END counter;

ARCHITECTURE behavioral OF counter IS
SIGNAL clk1, clk2, clk3: BIT;
Back to top
 
 
View Profile   IP Logged
niakia
New Member
*
Offline



Posts: 2

Re: VHDL - Generate truth table ?
Reply #1 - Oct 1st, 2005, 10:52pm
 
nvm i got it  ;D
Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.